Samsung Foundry: 2nm Silicon in 2025

samsung-foundry:-2nm-silicon-in-2025

One of the key semiconductor technologies beyond 3D FinFET transistors are Gate-All-Around transistors, which show promise to help extend the ability to drive processors and components to higher performance and lower power. Samsung has always announced that its first generation GAA technology will align with its ‘3nm’ nodes, with its 3GAE and 3GAP processes. As part of the Samsung Foundry Forum today, some more insight was put into the timeline for the rollout, as well as talk of its 2nm process.

It has been widely expected that once the standard FinFET runs out of steam that the semiconductor manufacturing industry will pivot to GAAFET designs. Each of the leading edge vendors call their implementation something different (RibbonFET for Intel, MBCFET for Samsung), but it is all using the same basic principle – a flexible width transistor with a number of layers helping drive transistor current. Where FinFETs relies on multiple quantized fins for source/drain and a cell height of multiple tracks of fins, GAAFETs enable a single fin of variable length, allowing the current for each individual cell device to be optimized in power, performance, or area.

All the big vendors have been discussing GAAFETs in technical semiconductor conferences for a number of years. For example, at the International VLSI conference in June 2020, then Intel CTO Dr. Mike Mayberry showcased a diagram with the enhanced electrostatics of moving to a GAA design. At the time we asked about Intel’s timescale for implementing GAA in volume, and were told to expect them ‘within 5 years’. At present Intel’s RibbonFET is due to come with the 20A process, likely to be productized by the end of 2024. TSMC by contrast is introducing its equivalent technology with its 2nm process nodes, stating that they can extend the life of their FinFET technology for another generation in 3nm. Exact timeline for TSMC's rollout is still quite blurry at this point, as the company expects its N5 and N3 offerings to be extensive long life-time nodes.

Samsung actually surprised us a couple of years back, announcing that it had a version of its GAA technology in prototype in early 2019. The company said that it was shipping its v0.1 development kit to its partners, allowing them to experiment with the early design rules that Samsung required. That has improved over time, and at a presentation a couple of months ago at a China-only conference, the company said that a version of its 3nm GAA technology would be on track for 2022 deployment. Today Samsung is confirming and extending those expectations.

Speaking to Samsung’s MoonSoo Kang, Senior VP of Samsung’s Foundry Market Strategy, he outlined the following timetable for Samsung’s GAA process nodes:

  • 3GAE will go into mass production by EoY 2022
  • 3GAP will follow in one year for mass production at EoY 2023
  • 2GAP will take another couple of years, mass production in 2025

He did add the caveat that these are mass production schedules – product on the shelves will be dependent on customers and their own deployments. From that we usually add one or two quarters (3-6mo) after these times, so 2GAP is realistically a 2026 product for end-users based on these schedules.

This is the first that Samsung is talking about its 2nm process technology, and it comes across as an iterative optimization with what Samsung expects to provide with the 3nm variants. Exact details about performance expectations of these process nodes may be presented later today at the Samsung Foundry Forum 2021 event. Stay tuned for additional coverage.

 

Leave a Reply

Your email address will not be published. Required fields are marked *

the-ampere-altra-max-review:-pushing-it-to-128-cores-per-socket

The Ampere Altra Max Review: Pushing it to 128 Cores per Socket